[DRC NSTD-1] Unspecified I/O Standard: 36 out of 166 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1].  NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: W_data_i_0[31:0], W_wren_i_0, PL_CLK, dma_start[0], and dma_rstn[0].

翻译原文 DRC NSTD-1]未指定的I/O标准:166个逻辑端口中有36个使用I/O标准(IOSDARD)值“DEFAULT”,而不是用户指定的特定值。这可能会导致I/O争用或与板电源或连接不兼容,影响性能、信号完整性,或者在极端情况下导致设备或与其连接的组件损坏。若要更正此冲突,请指定所有I/O标准。除非所有逻辑端口都定义了用户指定的I/O标准值,否则此设计将无法生成位流。要允许使用未指定的I/O标准值创建位流(不推荐),请使用以下命令:set_property SEVERITY{Warning}[get_drc_checks NSTD-1]。注意:当使用Vivado Runs基础结构(例如launch_Runs-Tcl命令)时,将此命令添加到.Tcl文件中,并将该文件添加为实现运行的write_bitstream步骤的预挂钩。问题端口:W_data_i_0[31:0]、W_wren_i_0、PL_CLK、dma_start[0]和dma_rstn[0]。

[DRC UCIO-1] Unconstrained Logical Port: 36 out of 166 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined.  To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1].  NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run.  Problem ports: W_data_i_0[31:0], W_wren_i_0, PL_CLK, dma_start[0], and dma_rstn[0].

翻译原文 [DRC UCIO-1]无约束逻辑端口:166个逻辑端口中有36个没有用户指定的特定位置约束(LOC)。这可能会导致I/O争用或与板电源或连接不兼容,影响性能、信号完整性,或者在极端情况下导致设备或与其连接的组件损坏。若要更正此冲突,请指定所有接点位置。除非所有逻辑端口都定义了用户指定的站点LOC约束,否则此设计将无法生成比特流。要允许使用未指定的引脚位置创建位流(不推荐),请使用以下命令:set_property SEVERITY{Warning}[get_drc_checks UCIO-1]。注意:当使用Vivado Runs基础结构(例如launch_Runs-Tcl命令)时,将此命令添加到.Tcl文件中,并将该文件添加为实现运行的write_bitstream步骤的预挂钩。问题端口:W_data_i_0[31:0]、W_wren_i_0、PL_CLK、dma_start[0]和dma_rstn[0]。

解决方法

set_property SEVERITY {Warning} [get_drc_checks NSTD-1] set_property SEVERITY {Warning} [get_drc_checks UCIO-1]

新建一个tcl文件,不知道怎么新建,就新建一个txt文档放进去再更改后缀

然后选择Settings-Bitstream-tcl.pre,进入选择刚刚新建的tcl文件就可以了

参考阅读

评论可见,请评论后查看内容,谢谢!!!评论后请刷新页面。